posted by user: cavl || 2551 views || tracked by 4 users: [display]

HAC 2010 : 2nd International Workshop on Hybrid Architecture Computing

FacebookTwitterLinkedInGoogle

Link: http://www.hpcs.cs.tsukuba.ac.jp/hac10
 
When Jun 1, 2010 - Jun 1, 2010
Where Tsukuba, Japan
Submission Deadline Mar 22, 2010
Categories    omputing architecture
 

Call For Papers

HAC2010 - 2nd International Workshop on Hybrid Architecture Computing

to be held within ACM ICS?10
June 1st, 2010, Tsukuba, Japan

DEADLINE EXTENSION NOTICE
Since the workshop proceedings distribution manner was changed and the
camera-ready deadline was changed. And we decided to extend the final
deadline for the paper submission to provide much more opportunity for
paper submission and modification. The new and final deadline is March
22nd (Mon) A.O.E. (GMT+12).
If you have already submitted your paper, you can resubmit the
modified version. Please send it again to the workshop contact.


WORKSHOP SCOPE
Large scale hybrid architecture computing which incorporates general
purpose processors and computation accelerators in single system is
considered very strong and promised system to support wide area of
high performance computing toward Exa-FLOPS performance. Currently,
various types of accelerator hardware such as GPGPU, Cell Broadband
Engine, GRAPE-DR or special purpose ASICs are ready to be attached
with general purpose microprocessors in order to realize extremely
powerful high-end computing.
We have organized the first workshop HAC?08 at IEEE Cluster2008
conference, and the second one is held as a part of ACM ICS?10 which
will be held in Tsukuba-city in Japan. In this workshop, we discuss on
the current status of hybrid architecture computing, the technical
issue for high-end computing accelerated by this technology, future
trend and works to be performed toward Exa-FLOPS computing. The
workshop topics include the following issues, but not limited if the
contribution matches with the workshop concept.

* Hybrid computing architectures (accelerating hardware, system
integration technology, etc.)

* System software for hybrid architecture computing (operating system,
middleware, run-time environment, etc.)

* Programming tools and support environment for hybrid architecture
computing (compiler, programming tool, etc.)

* Algorithm and application for hybrid architecture computing
(algorithms, libraries, benchmarks and applications)

* All other technical issues on hybrid architecture computing

Our goal is to confirm the current status of this high-end computing
technology as well as the future trend and work to do toward Exa-FLOPS
computing.


PAPER SUBMISSION
Papers should not exceed eight single-spaced double-column pages
including figures, tables and references. Submission in Postscript or
PDF should be sent by e-mail to the contact address described in the
last section of this page. All the submitted papers are peer reviewed
by the workshop program committee for judging the correctness,
originality, technical strength and presentation quality as well as
the appropriateness to the workshop. Submitted papers should not have
appeared or under reviewing for other conferences or workshops.


IMPORTANT DATES
* March 22nd A.O.E (GMT+12): Paper submission final deadline
* April 12th: Acceptance notification
* April 30th: Camera-ready deadline for proceedings


WORKSHOP ORGANIZATION
Workshop co-chairs:
Taisuke Boku (University of Tsukuba) and Serge Petiton (CNRS/LIFL)

Workshop program committee:
Franck Cappello (INRIA and UIUC)
Jack Dongarra (University of Tennessee)
Mike Heroux (Sandia National Laboratory)
Fumikazu Konishi (Tokyo Institute of Technology)
Junichiro Makino (Japan National Astronomy Observatory)
Satoshi Matsuoka (Tokyo Institute of Technology)
Akira Naruse (Fujitsu Ltd.)
Daisuke Takahashi (University of Tsukuba)


CONTACT AND PAPER SUBMISSION
Paper submission and other enquiries should be sent to the following
address by e-mail.

hac10@hpcs.cs.tsukuba.ac.jp

Related Resources

IEEE COINS 2024   IEEE COINS 2024 - London, UK - July 29-31 - Hybrid (In-Person & Virtual)
IEEE-Ei/Scopus-ACEPE 2024   2024 IEEE Asia Conference on Advances in Electrical and Power Engineering (ACEPE 2024) -Ei Compendex
IEEE-Ei/Scopus-SGGEA 2024   2024 Asia Conference on Smart Grid, Green Energy and Applications (SGGEA 2024) -EI Compendex
CCBDIOT 2024   2024 3rd International Conference on Computing, Big Data and Internet of Things (CCBDIOT 2024)
ICBICC 2024   2024 International Conference on Big Data, IoT, and Cloud Computing (ICBICC 2024)
PCDS 2024   The 1st International Symposium on Parallel Computing and Distributed Systems
ADMIT 2024   2024 3rd International Conference on Algorithms, Data Mining, and Information Technology (ADMIT 2024)
ISCAI 2024   2024 3rd International Symposium on Computing and Artificial Intelligence
CSCT 2024   Congress on Smart Computing Technologies
ICCDE 2025   2025 11th International Conference on Computing and Data Engineering (ICCDE 2025)