posted by system || 4217 views || tracked by 6 users: [display]

ASP-DAC 2008 : Asia and South Pacific Design Automation Conference

FacebookTwitterLinkedInGoogle


Conference Series : Asia and South Pacific Design Automation Conference
 
Link: http://www.aspdac.com/aspdac2008/
 
When Jan 21, 2008 - Jan 24, 2008
Where Seoul, South, Korea
Submission Deadline Nov 16, 2007
Categories    design automation
 

Call For Papers

Important Dates

* Deadline for Paper Submission: July 10, 2007
* Notification of Acceptance: September 28, 2007
* Deadline for Final Version: November 16, 2007

Sponsored by

* IEEE Circuits and Systems Society (IEEE CASS)
* Association for Computing Machinery (ACM)'s Special Interest Group on Design Automation (SIGDA)
* The Institute of Electronics Engineers of Korea (IEEK)

Academically Sponsored by

* The Korea Information Science Society (KISS)

Areas of Interest

Original papers on, but not limited to, the following areas are invited.
[1] System Level Design:
System VLSI and SOC design methods, System specification, Specification languages, Design languages, Hardware-software co-design, Co-simulation, Co-verification, Platform-based design, Design reuse and IP�??s
[2] Embedded and Real-Time Systems:
Low power system design, Network on chip, Communication architecture, Memory architecture, Real-time OS and middleware, Compilation techniques, ASIP synthesis
[3] Behavioral/Logic Synthesis and Optimization:
Behavioral/RTL synthesis, Technology-independent optimization, Technology mapping, Interaction between logic design and layout, Sequential and asynchronous logic synthesis
[4] Validation and Verification for Behavioral/Logic Design:
Logic simulation, Symbolic simulation, Formal verification, Equivalence checking, Transaction-level/RTL and gate-level modeling and validation
[5] Physical Design (Routing):
Routing, Repeater issues, Interconnect optimization, Interconnect planning, Module generation, Layout verification
[6] Physical Design (Placement):
Placement, Floorplanning, Partitioning, Hierarchical design
[7] Timing, Power, Signal/Power Integrity Analysis and Optimization:
Timing analysis, Power analysis, Signal/power integrity, Clock and global signal design
[8] Interconnect, Device and Circuit Modeling and Simulation:
Interconnect modeling, Interconnect extraction, Package modeling, Circuit simulation, Device modeling/simulation, Library design, Design fabrics, Design for manufacturability, Yield optimization, Reliability analysis, Emerging technologies
[9] Test and Design for Testability:
Test design, Fault modeling, ATPG, BIST and DFT, Memory, core and system test
[10] Analog, RF and Mixed Signal Design and CAD:
Analog/RF synthesis, Analog layout, Verification, Simulation techniques, Noise analysis, Analog circuit testing, Mixed-signal design considerations
[11] Leading Edge Design Methodologies:
Novel design methodologies for SOCs, SIPs, IP-cores, processors, memories, A/D mixed circuits, Sensors, MEMS chips, FPGAs, reconfigurable systems, etc. and design examples based on the aforementioned methodologies

Related Resources

ASP-DAC 2025   Asia and South Pacific Design Automation Conference
MEIJ 2024   Mechanical Engineering: An International Journal
AMS 2024   2024 7th Asia-Pacific Conference on Applied Mathematics and Statistics (AMS 2023)
IEEE-Ei/Scopus-ACEPE 2024   2024 IEEE Asia Conference on Advances in Electrical and Power Engineering (ACEPE 2024) -Ei Compendex
SAMDE 2024   2024 5th International Symposium on Automation, Mechanical and Design Engineering
CSDE 2024   11th IEEE Asia-Pacific Conference on Computer Science and Data Engineering 2024
CoMSE 2024   2024 3rd Conference on Materials Science and Engineering (CoMSE 2024)
APMC 2024   2024 Asia-Pacific Microwave Conference
APEF 2024   2024 Asia-Pacific Conference on Economics and Finance ‘LIVE’
DAC 2024   Design Automation Conference